Intel Announces Nova Lake CPUs for 2026 and Panther Lake “18A” Scheduled for Second Half of 2025, With 18A HVM Launching This Year and Improved 14A Performance-Per-Watt and Density Scaling

Intel Announces Nova Lake CPUs for 2026 and Panther Lake “18A” Scheduled for Second Half of 2025, With 18A HVM Launching This Year and Improved 14A Performance-Per-Watt and Density Scaling

Intel’s CEO, Lip-Bu Tan, is delivering exciting updates concerning the company’s upcoming product lines, including the latest CPUs like Nova Lake and Panther Lake, alongside critical developments in the 18A and 14A process technology nodes.

Commencement of Intel’s 18A High-Volume Manufacturing Planned for Late This Year

Under the leadership of CEO Lip-Bu Tan, who brings extensive expertise in the semiconductor landscape, Intel has made significant announcements in recent weeks. In a release from the CEO, a variety of new and existing product lines are outlined, emphasizing future innovations.

One of the key highlights is the status of the 18A process node, which is deemed critical for Intel’s future endeavors. Panther Lake, the first major product utilizing this process technology, targets mobile platforms and is expected to see retail availability in the latter half of 2025. Early samples of this series have already been seen.

Panther Lake
Image Credits: PCGH

Reports indicate that Intel is preparing to enhance core counts in the Nova Lake series substantially, with discussions suggesting configurations of up to 16 P-Cores and 32 E-Cores. These CPUs will cater to both desktop and laptop markets.

Tan reaffirmed the health of the 18A technology, a sentiment echoed by his predecessor, Pat Gelsinger. This process is set to accommodate external customers and initiate high-volume manufacturing by the end of 2025.

One of the first actions I took upon joining the company was to gain insights into Intel 18A’s progress. It’s robust and will bolster our market competitiveness. Alongside Panther Lake, we are finalizing designs for early Intel 18A external customer projects, expecting to deliver our first products to fab manufacturing mid-year. Our roadmap for future nodes continues to advance as we seek to reclaim our process leadership.

In the latter half of this year, we will bolster our market presence with the launch of Panther Lake, leading on Intel 18A, and follow with Nova Lake in 2026.

By the end of 2024, a significant majority of our products will be produced through the Intel 7 node, with successful ramp-ups of Intel 4 and Intel 3 nodes as the first EUV lithography nodes, transitioning high-volume production to Ireland. The decision to halt Intel 20A’s development allows us to concentrate on optimizing Intel 18A, which aims for high-volume output in 2025 with Panther Lake as the flagship client product.

The 18A process technology will introduce groundbreaking features, including gate-all-around transistors known as “RibbonFET”and a backside power delivery method termed “PowerVia”.These advancements represent Intel’s first high-volume commercial deployment of such technologies, which promise significant enhancements in performance per watt and density scaling compared to the Intel 3 node.

Intel Process Node Roadmap
Image Source: Intel

Intel’s Comprehensive Process Roadmap

Process Name Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 Intel 10nm SuperFine
Production Timeline 2027 2026 2H 2024 1H 2024 1H 2023 2H 2022 In Production (Now) In High-Volume (Now)
Performance / Watt (vs 10nm ESF) TBA TBA TBA >20%? 18% 20% 10-15% N/A
EUV Technology TBD High-NA EUV Yes Yes Yes Yes N/A N/A
Transistor Architecture TBD TBD Optimized RibbonFET RibbonFET Optimized FinFET Optimized FinFET Optimized FinFET FinFET
Associated Products TBD TBD Nova Lake, Panther Lake, Clearwater Forest, Diamond Rapids? Lunar Lake, Arrow Lake, Diamond Rapids? Granite Rapids, Sierra Forest, Foundry Partner Meteor Lake, Xe-HPC / Xe-HP? Alder Lake, Raptor Lake, Sapphire Rapids, Emerald Rapids, Xe-HPG? Tiger Lake

The Intel 18A process technology represents our pioneering edge, designed to facilitate high-volume commercial implementations of two innovative technologies: gate-all-around transistors and backside power delivery. Our RibbonFET technology aims to enhance processing speeds while minimizing physical footprint. PowerVia optimizes signal transmission by removing the need for power routing on the front side of the wafer.

Intel 18A will be available to external foundries, promising significant improvements in performance per watt and density scaling compared to Intel 3. The high-volume manufacturing for Panther Lake, Intel’s inaugural product family based on 18A, is anticipated to commence in 2025.

The Intel 14A, our subsequent advanced technology set for external customers, is currently in development, focusing on additional performance-per-watt and density improvements over the 18A node.

On the server front, Intel plans to introduce the Clearwater Forest CPUs, which will be the first to leverage the 18A technology specifically designed for E-Core applications. Expected to launch in the first half of 2026, this series may feature up to 288 E-Cores and utilize Foveros Direct 3D Stacking technology. Preliminary images indicate the premium model will utilize five chiplets, which incorporate both IO and compute dies.

Intel Xeon 6 SoC

Currently, almost three-quarters of the world’s major data center workloads are powered by Intel silicon. However, past achievements should not guarantee future success. It’s essential for us to enhance our offerings. The new Xeon 6 series aims to bridge competitive gaps and restore Intel’s leadership in this pivotal market. We’re excited about Clearwater Forest, slated as our first server product utilizing the Intel 18A process, targeting a launch in the first half of 2026.

Intel is also advancing its U. S.production agenda, with high-volume manufacturing for the 18A process set to begin in its Arizona facility later this year. Future plans include expanding production capabilities within the United States.

Intel plays a crucial role in fulfilling the rising demand for advanced semiconductor production domestically and internationally. We are thrilled to transition into high-volume production with Intel 18A at our cutting-edge Arizona fab and are eager to collaborate with the U. S.Administration to bolster the nation’s technological and manufacturing supremacy. While various companies are either returning to the U. S.or investing here for the first time, Intel has remained committed to its operations and continues to expand.

Looking ahead, Intel will be hosting its Vision 2025 event on March 31st, where further updates from CEO Lip-Bu Tan will be shared.

Source & Images

Leave a Reply

Your email address will not be published. Required fields are marked *